ECEWIRE
Home News New Products Automotive Smart Home Smart Factory Artificial Intel Contact About

News 18-Aug-2023

Vishay opens advanced resistors manufacturing facility in Ciudad Juárez, Mexico

Qualcomm and BT Group collaborate on 5G Lab R&D Facilities

105% annual increase in HBM bit supply by 2024

Micro LED chips will reach $27 million in 2023, indicating a YoY growth of 92%

Renesas to acquire Sequans

Li-ion battery packs to reach $40 billion at 30.2% CAGR 22-28

ABI Research:Payment card shipments to reach 3.16 billion in 2023

Mobiveil and Winbond partnering to deliver HYPERRAM IP Controller to SoC Designers

White paper on sustainability challenges in electronics manufacturing industry

IPC: 70% chance that the US economy to see recession in next 12 months

Micron Technology reported a revenue of $3.75 billion for its Fiscal Q3 2023

Satellite IoT connection revenues to reach US$7.8 Billion by 2030

Texas Instruments to expand manufacturing operations in Malaysia

Keysight achieved QDART validation for Qualcomm's QRU100 5G RAN Platform

SK hynix Receives International Certification for Automotive Memory Solution Development

AI server market growth feeding growth of AI chips

Indium Corporation to Feature Products for HIA and SiP at CHIPcon

MaxLinear' new RF Tx MxL1550 with Agilex 7 FPGA F-series for high speed data transfer

Selected online news stories from Electronics Engineering Media for 4th Week of Jun 23

Semiconductor Manufacturing, VLSI, and Electronics Design News for the day

Entegris Opens State-of-the-Art Manufacturing Facility in Kaohsiung, Taiwan

MACOM Establishes European Semiconductor Center

Certain Segments of China’s NAND Flash Wafer Market Poised for Slight Price Uptick Amid Elevated Inventories, Says TrendForce

Global Semiconductor Sales Increase 0.3% Month-to-Month in April

STMicroelectronics and Sanan Optoelectronics to advance Silicon Carbide ecosystem in China

Semtech reports $236.5 million quarterly revenue, up 41.2% sequentially and 17.0% y-o-y

MACOM Establishes European Semiconductor Center

Certain Segments of China’s NAND Flash Wafer Market Poised for Slight Price Uptick Amid Elevated Inventories, Says TrendForce

STMicroelectronics and Sanan Optoelectronics to advance Silicon Carbide ecosystem in China

Entegris Opens State-of-the-Art Manufacturing Facility in Kaohsiung, Taiwan

Global Semiconductor Sales Increase 0.3% Month-to-Month in April

Siemens collaborates with SPIL to deliver a 3D verification workflow for fan-out wafer-level packaging

LED Chip Prices See 3-5% Surge, Predicted to Boost Annual LED Chip Market Value to US$2.9 Billion, Says TrendForce

Industry Leaders Launch RISE to Accelerate the Development of Open Source Software for RISC-V

Cadence Collaborates with Arm to Accelerate Mobile Device Silicon Success with New Arm Total Compute Solutions

Intellias's software services to enable Elmos to serve automotive market

EV Battery Prices Plummet in May, Poised for Demand Surge in June, Says TrendForce

Keysight to Highlight Automotive Testing Innovations at ATE Europe 2023

U.S. Government Accredits GlobalFoundries to Manufacture Trusted Semiconductors at New York Facility

New Advancements in AI and Edge Computing Energize Commercial Video Surveillance Market with Camera Installed Base of 1.2 Billion in 2030

Lantronix Announces CEO Transition and Continuity Plan

Vitesco Technologies and onsemi sign SiC long-term supply agreement and agree to invest in SiC technology capacity expansion

Wi-Fi Alliance advances testing of 6 GHz standard power devices controlled by the Automated Frequency Coordination (AFC) system

KLA and imec announce MOU to advance the electrification of the automotive industry

UltraRISC Selects Valtrix STING for Verification of RISC-V SoC

Global AI Server shipments to see a massive growth of 40% in 2023

NXP and TSMC to Deliver Industry’s First Automotive 16 nm FinFET Embedded MRAM

Semiconductor market round up of full year 2022 and 1st quarter 2023

Smart Home Healthcare Revenues Grow to US$26.5 Billion in 2023, Driven by the Remote Monitoring Opportunity

Siemens announces certifications for TSMC’s latest processes, celebrates recent achievements for Siemens and TSMC collaboration

Keysight Enables Advanced Pre-Tapeout Silicon Prototyping Using Digital Twin Signaling

Kenny Wilson Assumes CEO Role at Jabil

DRAM Industry Q1 Revenues Decline 21.2% QoQ, Marking Third Consecutive Quarter of Downturn, Says TrendForce

Realtek's Edge AI USB Camera Controller (RTS5863) Wins Best Choice Golden Award at COMPUTEX TAIPEI 2023

Synaptics Showcases AI-Enabled Vega Technology for Intuitive and Responsive Haptic Trackpads at Dell Technologies World

Qualcomm to Acquire Autotalks

Asia/Pacific Semiconductor Fabless Market Size Decline 6.5% YoY in 2022, Expected Stable and Steady Growth Seen in 2024

Marvell to Establish World-Class Semiconductor Design Center in Vietnam

Cabinet approves Production Linked Incentive Scheme – 2.0 for IT Hardware

Global Semiconductor Packaging Materials Market to Near $30 Billion by 2027

Socionext Conducts Asset Management Demonstration Experiment Using ZETA-compliant ZETag IoT Tags

onsemi and Kempower Enter Strategic Agreement for Electric Vehicle Chargers

DXC’s Luxoft partners with Red Hat to enable car manufacturers to accelerate the development of software-defined vehicles

Lattice Details Next Growth Phase and Long-Term Financial Model at 2023 Analyst and Investor Day

Triad RF Announces Adam Krumbein as New VP of Marketing

Semiconductor material event SMC Korea 2023 focuses on sustainability

GlobalFoundries Announces Chief Financial Officer Transition and Names New Chief Business Officer

Intel, BCG Collaborate to Deliver Enterprise-Grade, Secure Generative AI

Penn State and onsemi sign MOU to boost silicon carbide research in the U.S.

CEVA Acquires Spatial Audio Business from VisiSonics

New eBook from Mouser Electronics and Texas Instruments Offers Guide to Navigate the Future of Urban Air Mobility

Alchip Technologies Announces 3DFabric Alliance Support

Analog Bits to Demonstrate Working Silicon on TSMC N3E Process at TSMC 2023 North America Technology Symposium

European Components Distribution (DMASS) grows amid improvements in availability

Fraunhofer IIS/EAS Selects Achronix Embedded FPGAs (eFPGAs) to Build Heterogeneous Chiplet Demonstrator

Digital Matter's Yabby3 Battery-Powered GPS for LoRaWAN powered by Murata's Type1SJ LoRaWAN Module Now Available

NIO and NXP Collaborate on 4D Imaging Radar Deployment

ESD Alliance Elects 10-Member Governing Council to 2-Year Term

Semtech’s LoRa Chip-to-Cloud Platform Enables Sustainable Farming in Malaysia

Fairview Microwave Offers Variable Phase Shifters, Continuously Variable Attenuators and Step Attenuators

Infineon and Foxconn sign MoU to partner on SiC collaboration and EV development

Kulicke & Soffa Collaborates with AUO Digitech on Smart Manufacturing Solutions

Sondrel engages The SHD Group to assist in bringing Architecting the Future to the American market

Sensory Enables VoiceChat with Generative AI on Consumer Devices

Cadence Leverages IBM Cloud HPC to Accelerate Electronic Chip and System Design Software Development

ABB completes acquisition of Siemens low voltage NEMA motor business

Aldec and Thales to Co-Present at Certification Together International Conference 2023

Medtronic receives FDA approval for its next generation Micra leadless pacing systems

Heinrich Thye Appointed as New Secretary General of the Zhaga Consortium

Fujitsu opens new research center in Bangalore India focusing on AI

Semiconductor equipment sales to Rest of the World jumped 79% in 2021

Top 3 suppliers of cellular IoT chipsets in Q4 2021: Qualcomm, UNISOC and ASR

The proponents of FDSOI to define new-gen roadmap

Renesas wireless charging IC powers Wacom pen

AUTOSAR and Khronos collaborate on automotive graphics

Semiconductor manufactuing equipment spending to grow by 18% in 2022

Global semiconductor materials market grew 15.9% to $64.3 billion in 2021

UEFI Forum updated UEFI 2.9 Specs released

NeoPhotonics shipped a million+ drivers for PAM4 transceivers

Lattice FPGA based online training courses by LEC2

Wind River is ISO 27001 Certified enabling its products more secure

Heinrich Thye Appointed as New Secretary General of the Zhaga Consortium

Solar charged Li-Ion capacitors developed jointly by E-peas and Voltaic

Fujitsu opens new research center in Bangalore India focusing on AI

Semiconductor equipment sales to Rest of the World jumped 79% in 2021

Top 3 suppliers of cellular IoT chipsets in Q4 2021: Qualcomm, UNISOC and ASR

Discrete semiconductor market: global and India's forecast

Steady and stable growth of microcontroller market to continue post-2021

AUTOSAR and Khronos collaborate on automotive graphics

Renesas wireless charging IC powers Wacom pen

The proponents of FDSOI to define new-gen roadmap

Global semiconductor materials market grew 15.9% to $64.3 billion in 2021

Semiconductor manufactuing equipment spending to grow by 18% in 2022

Lattice FPGA based online training courses by LEC2

UEFI Forum updated UEFI 2.9 Specs released

Wind River is ISO 27001 Certified enabling its products more secure

NeoPhotonics shipped a million+ drivers for PAM4 transceivers

Discrete Semiconductor market size $42.9B in 2020 and $45.43B in 2021

Realtek's DTV SoC employs frame buffer compression IP from Imagination

Omnispace and Lacuna collaborate to deliver Global LoRaWAN IoT Service

Top semicon and software companies go for Open Eco for Chiplet-based design

BMW Group, Qualcomm and Arriver to jointly develop AD software

Global Semiconductor sales Increase 26.8% Year-to-Year in January

Lattice Joins the OPC Foundation

The surge in PC shipments in 2021 creates a bubble

Renesas partners with Tata Elxsi in setting up a EV design center in Bangalore

26% growth of global smartphone sales in 1Q 2021, as per Gartner

ABI: Wireless headset shipments will reach over 1 billion units in 2025

Lumentum, Ericsson, Nokia and Other jointly bring out MOPA tech paper

TSMC, ASE, JCET and Amkor market shares reach 95% in Fan-Out market

OPTAGE next-gen Android TV uses Amino streaming tech

Joint research center on advanced materials for sensors by ST and Politecnico

51% growth global semicon equipment billing in 1st Q 2021

Cobot's Market is valuated over US$600 million in 2021

Panasonic develops AI dataset of human activity in homes

Monitoring storm water through IOT

Extreme low power capabilities connect Winbond and Ambiq' chips

Robust growth in DRAM revenues in 1Q21 for both big and small vendors

LED market updates in 2nd Q 2021 from Trendforce

Socionext to make APIX3 tech based chips

ON Semi reports record automotive revenue of $515 million in 1st Q 21

Laser on Silicon Photonics foundry process by Tower Semi

Silvaco acquires Ukraine based POLYTEDA

MaxLinear WAV664 is selected by Wi-Fi Alliance as Wi-Fi 6E test bed device

3 more chip industry experts join Silvaco Technical Advisory Board

APITech promotes two of its executives

Nokia appoints Nishant Batra as Chief Strategy and Technology Officer

Entegris to expand its ops in Taiwan

Wristband maker Nymi partners with ELATEC

DALI Alliance Joins IP-BLiS, a IoT building automation group

Support for Wind River VxWorks RTOS for RISC-V based NOEL-V

DENSO adopts SDK SiC Epi-wafers

OTT and cable on Android TV

Silvaco's DDR PHY and OPENEDGES's DDR controller for Samsung fab

JEDEC publishes new UFS card standard JESD220-2B

Aimotive use Synopsys tools to design AI NN accelerator IP for ADAS

STL offering Open RAN multi-band radio solution for 5G n/ws

Achronix appoints Mark Voll as CFO

Samsung foundry uses Cadence Spectre X to simulate its 5nm designs

Alianza to acquire CounterPath

Plasma-Therm acquired OEM Group's PVD, RTP and Etch biz

Khronos releases set of new PBR material extensions for glTF

Smartphone market back to fast growth lane in 2H2020

Transphorm selects Veeco's MOCVD to mass produce of GaN FETs

IPC-2581Rev C released featuring automation supporting Industry 4.0

DRAM market see a healthy 2% growth in third quarter of 2020

STL & ASOCS demo RIC at India's first plugfest for O-RAN ALLIANCE

Low-cost to drive 5G smartphone market

Draft of Accellera PSS 2.0 open for VLSI design community review

Indium forms strategic partnership with Valuetronics

Synopsys acquires Moortec

Lattice forms a FPGA design help group called LDG

Raytheon signed agreement to acquire Blue Canyon

RF Semiconductors for 5G account for 50% of sales

Marvell to acquire Inphi

Arteris IP to acquire the assets of Magillem Design Services

eGaN Motor Drive Webinar by Digi-Key and EPC

Cyient collaborate with SR University in creating curriculum for manufacturing

Microchip acquires Tekron

Pure-play foundry market to expand by 19% in 2020

Nokia employs Qualcomm' 5G RAN in its 5G Smart Node

Toshiba to develop hydrogen fuel cell modules for vessels

Open IoT Standards Organization launches Europe Interest Group

ON Semi joins ZBOSS Open Initiative

RF component maker provides solutions for 5G interferences

OnePlus 8T uses bunch of Pixelwork' tech to enhance display

Imagination and Packetcraft offer Bluetooth LE audio solution using LC3

Panasonic and Octasic extend sXGP collaboration to 5G/beyond 5G

Rockwell and Fluke launch new guidelines for industrial ethernet testing

Assessment report on advanced ML platforms

Altair Acquires Ellexus and Univa

Cognizant completes acquisition of Azure Cloud Specialist 10th Magnitude

RISC-V computer architecture for under-graduate teaching

Voice recognition; a surge in audio electronics technologies

Massive India semiconductor market growth fueled by phones

Global semiconductor market in year 2019

Flipkart and Amazon emerges as alternatives to buycomponents and boards

Samsung launches SAFE foundry program

2018 is defining year for smart speaker adoption

Smartmesh connectivity for smarthome jointly by Alibaba and MediaTek

Lam Research names Tim Archer as president who is already a COO

Arteris IP adds 8 new Licensees and 2 new products in 2017

IoT tech revenues from smart city to grow from $25B in 2017 to $62B in 2026

TUV Rheinland India become first TIC lab in India to receive A2LA accreditation

Melexis establish new R&D center in France

Xilinx appointed Victor Peng as president and chief executive officer

RF GaN to get another big boost by 5G networks

Semiconductor and electronics industry acquisition at the start of 2018

Micron and Intel take separate paths in developing future 3-D NAND flash

Altair to set up CoE in collaboration with BMS College of Engineering in Bengaluru

ROHM targets Indian EV specific automotive semiconductor market

Atos proposes to acquire Gemalto

ELEXCON 2017 in Shenzen to cover lot on smart phone and its application areas

Best papers at VLSI verification event TrueConnect 2017

Alliance memory records 1K design registrations

The Zigbee Alliance and Thread Group offer Dotdot specs over Thread's IP network

ADAM: Episode 3 created using Unity

Strong early iPhone X growth in rich countries

Wireless IoT devices in farming reached 17.0 million in 2016

Third Quarter of 2017 was a growth quarter for top 5 smart phone vendors

Global IoT market to expand from NT$97.6 billion to NT$362 billion in 2020

Previous month news

Home News New Products Contact About